skip to main content
research-article

RT-RCG: Neural Network and Accelerator Search Towards Effective and Real-time ECG Reconstruction from Intracardiac Electrograms

Published: 16 March 2022 Publication History

Abstract

There exists a gap in terms of the signals provided by pacemakers (i.e., intracardiac electrogram (EGM)) and the signals doctors use (i.e., 12-lead electrocardiogram (ECG)) to diagnose abnormal rhythms. Therefore, the former, even if remotely transmitted, are not sufficient for doctors to provide a precise diagnosis, let alone make a timely intervention. To close this gap and make a heuristic step towards real-time critical intervention in instant response to irregular and infrequent ventricular rhythms, we propose a new framework dubbed RT-RCG to automatically search for (1) efficient Deep Neural Network (DNN) structures and then (2) corresponding accelerators, to enable Real-Time and high-quality Reconstruction of ECG signals from EGM signals. Specifically, RT-RCG proposes a new DNN search space tailored for ECG reconstruction from EGM signals and incorporates a differentiable acceleration search (DAS) engine to efficiently navigate over the large and discrete accelerator design space to generate optimized accelerators. Extensive experiments and ablation studies under various settings consistently validate the effectiveness of our RT-RCG. To the best of our knowledge, RT-RCG is the first to leverage neural architecture search (NAS) to simultaneously tackle both reconstruction efficacy and efficiency.

References

[1]
Mohamed S. Abdelfattah, Łukasz Dudziak, Thomas Chau, Royson Lee, Hyeji Kim, and Nicholas D. Lane. 2020. Best of both worlds: AutoML codesign of a CNN and its hardware accelerator. arXiv preprint arXiv:2002.05022 (2020).
[2]
AMD Inc.2020. 2nd Gen AMD EPYC™ 7742 | Server Processor | AMD. Retrieved from https://www.amd.com/en/products/cpu/amd-epyc-7742.
[3]
Dario Amodei, Sundaram Ananthanarayanan, Rishita Anubhai, Jingliang Bai, Eric Battenberg, Carl Case, Jared Casper, Bryan Catanzaro, Qiang Cheng, Guoliang Chen, Jie Chen, Jingdong Chen, Zhijie Chen, Mike Chrzanowski, Adam Coates, Greg Diamos, Ke Ding, Niandong Du, Erich Elsen, Jesse Engel, Weiwei Fang, Linxi Fan, Christopher Fougner, Liang Gao, Caixia Gong, Awni Hannun, Tony Han, Lappi Vaino Johannes, Bing Jiang, Cai Ju, Billy Jun, Patrick LeGresley, Libby Lin, Junjie Liu, Yang Liu, Weigao Li, Xiangang Li, Dongpeng Ma, Sharan Narang, Andrew Ng, Sherjil Ozair, Yiping Peng, Ryan Prenger, Sheng Qian, Zongfeng Quan, Jonathan Raiman, Vinay Rao, Sanjeev Satheesh, David Seetapun, Shubho Sengupta, Kavya Srinet, Anuroop Sriram, Haiyuan Tang, Liliang Tang, Chong Wang, Jidong Wang, Kaifu Wang, Yi Wang, Zhijian Wang, Zhiqian Wang, Shuang Wu, Likai Wei, Bo Xiao, Wen Xie, Yan Xie, Dani Yogatama, Bin Yuan, Jun Zhan, and Zhenyao Zhu. 2016. Deep speech 2: End-to-end speech recognition in English and Mandarin. In International Conference on Machine Learning. 173–182.
[4]
Lanfranco Antonini, Antonio Auriti, Vincenzo Pasceri, Antonella Meo, Christian Pristipino, Antonio Varveri, Salvatore Greco, and Massimo Santini. 2012. Optimization of the atrioventricular delay in sequential and biventricular pacing: Physiological bases, critical review, and new purposes. Europace 14, 7 (2012), 929–938.
[5]
Baylor College of Medicine. 2020. Baylor St. Luke’s Medical Center. Retrieved from https://www.bcm.edu/about-us/affiliates/baylor-st-lukes-medical-center.
[6]
Jacob Benesty, Jingdong Chen, Yiteng Huang, and Israel Cohen. 2009. Pearson correlation coefficient. In Noise Reduction in Speech Processing. Springer, 1–4.
[7]
Paschalis Bizopoulos and Dimitrios Koutsouris. 2018. Deep learning in cardiology. IEEE Rev. Biomed. Eng. 12 (2018), 168–193.
[8]
Anh L. Bui, Tamara B. Horwich, and Gregg C. Fonarow. 2011. Epidemiology and risk profile of heart failure. Nat. Rev. Cardiol. 8, 1 (2011), 30.
[9]
Han Cai, Ligeng Zhu, and Song Han. 2018. ProxylessNAs: Direct neural architecture search on target task and hardware. arXiv preprint arXiv:1812.00332.
[10]
Deming Chen, Jason Cong, Yiping Fan, Guoling Han, Wei Jiang, and Zhiru Zhang. 2005. xPilot: A platform-based behavioral synthesis system. SRC TechCon 5.
[11]
Deming Chen, Jason Cong, Yiping Fan, and Lu Wan. 2009. LOPASS: A low-power architectural synthesis system for FPGAs with interconnect estimation and optimization. IEEE Trans. Very Large Scale Integ. (VLSI) Syst. 18, 4 (2009), 564–577.
[12]
Daoyuan Chen, Yaliang Li, Minghui Qiu, Zhen Wang, Bofang Li, Bolin Ding, Hongbo Deng, Jun Huang, Wei Lin, and Jingren Zhou. 2020. AdaBERT: Task-adaptive BERT compression with differentiable neural architecture search. arXiv preprint arXiv:2001.04246.
[13]
Liang-Chieh Chen, Maxwell Collins, Yukun Zhu, George Papandreou, Barret Zoph, Florian Schroff, Hartwig Adam, and Jon Shlens. 2018. Searching for efficient multi-scale architectures for dense image prediction. In Conference on Advances in Neural Information Processing Systems. 8699–8710.
[14]
Wuyang Chen, Xinyu Gong, Xianming Liu, Qian Zhang, Yuan Li, and Zhangyang Wang. 2019. FasterSeg: Searching for faster real-time semantic segmentation. arXiv preprint arXiv:1912.10917.
[15]
Y. Chen, T. Krishna, J. Emer, and V. Sze. 2017. Eyeriss: An energy-efficient reconfigurable accelerator for deep convolutional neural networks. IEEE J. Solid-state Circ. 52, 1 (2017), 127–138.
[16]
Y. Chen, Y. Maguire, C. Tapscott, C. Chivetta, Y. Chen, B. Aazhang, J. Cavallaro, and M. Razavi. 2018. An energy harvesting wireless leadless multisite pacemaker prototype. In 52nd Asilomar Conference on Signals, Systems, and Computers. 218–222. DOI:
[17]
Yu-Hsin Chen, Joel Emer, and Vivienne Sze. 2016. Eyeriss: A spatial architecture for energy-efficient dataflow for convolutional neural networks. ACM SIGARCH Comput. Archit. News 44, 3 (2016), 367–379.
[18]
Yu-Hsin Chen, Tien-Ju Yang, Joel Emer, and Vivienne Sze. 2019. Eyeriss v2: A flexible accelerator for emerging deep neural networks on mobile devices. IEEE J. Emerg. Select. Topics Circ. Syst. 9, 2 (2019), 292–308. DOI:
[19]
Kanghyun Choi, Deokki Hong, Hojae Yoon, Joonsang Yu, Youngsok Kim, and Jinho Lee. 2020. DANCE: Differentiable accelerator/network co-exploration. arXiv preprint arXiv:2009.06237.
[20]
Romain Cosentino, Randall Balestriero, Richard Baraniuk, and Behnaam Aazhang. 2020. Provable finite data generalization with group autoencoder. arXiv preprint arXiv:2009.09525.
[21]
Zidong Du, Robert Fasthuber, Tianshi Chen, Paolo Ienne, Ling Li, Tao Luo, Xiaobing Feng, Yunji Chen, and Olivier Temam. 2015. ShiDianNao: Shifting vision processing closer to the sensor. In 42nd Annual International Symposium on Computer Architecture. 92–104.
[22]
Andoni Elola, Elisabete Aramendi, Unai Irusta, Artzai Picón, Erik Alonso, Pamela Owens, and Ahamed Idris. 2019. Deep neural networks for ECG-based pulse detection during out-of-hospital cardiac arrest. Entropy 21, 3 (2019), 305.
[23]
G. Eraslan, L. M. Simon, M. Mircea, N. S. Mueller, and F. J. Theis. 2019. Single-cell RNA-seq denoising using a deep count autoencoder. Nat. Commun. 10 (2019), 1–14.
[24]
D. Erhan, Y. Bengio, A. Courville, P. A. Manzagol, P. Vincent, and S. Bengio. 2010. Why does unsupervised pre-training help deep learning?J. Mach. Learn. Res. 11 (2010), 625–660.
[25]
Yonggan Fu, Wuyang Chen, Haotao Wang, Haoran Li, Yingyan Lin, and Zhangyang Wang. 2020. AutoGAN-distiller: Searching to compress generative adversarial networks. arXiv preprint arXiv:2006.08198.
[26]
M. Gentil, F. Porée, A. I. Hernández, and G. Carrault. 2005. Surface electrocardiogram reconstruction from cardiac prothesis electrograms. EMBEC05 (2005), 2028F1–6.
[27]
Y. Guan, H. Liang, N. Xu, W. Wang, S. Shi, X. Chen, G. Sun, W. Zhang, and J. Cong. 2017. FP-DNN: An automated framework for mapping deep neural networks onto FPGAs with RTL-HLS hybrid templates. In IEEE 25th Annual International Symposium on Field-programmable Custom Computing Machines (FCCM). 152–159.
[28]
Emil Julius Gumbel. 1948. Statistical Theory of Extreme Values and Some Practical Applications: A Series of Lectures. Vol. 33. US Government Printing Office.
[29]
Kazım Hanbay. 2018. Deep neural network-based approach for ECG classification using hybrid differential features and active learning. IET Sig. Process. 13, 2 (2018), 165–175.
[30]
Awni Y. Hannun, Pranav Rajpurkar, Masoumeh Haghpanahi, Geoffrey H. Tison, Codie Bourn, Mintu P. Turakhia, and Andrew Y. Ng. 2019. Cardiologist-level arrhythmia detection and classification in ambulatory electrocardiograms using a deep neural network. Nat. Med. 25, 1 (2019), 65.
[31]
Cong Hao, Xiaofan Zhang, Yuhong Li, Sitao Huang, Jinjun Xiong, Kyle Rupnow, Wen-mei Hwu, and Deming Chen. 2019. FPGA/DNN Co-Design: An efficient design methodology for IoT intelligence on the edge. In 56th Annual Design Automation Conference (DAC’19). Association for Computing Machinery, New York, NY. DOI:
[32]
Chaoyang He, Haishan Ye, Li Shen, and Tong Zhang. 2020. Milenas: Efficient neural architecture search via mixed-level reformulation. In IEEE/CVF Conference on Computer Vision and Pattern Recognition. 11993–12002.
[33]
Melonie Heron and Robert N. Anderson. 2016. Changes in the leading cause of death: Recent patterns in heart disease and cancer mortality. NCHS Data Brief 254.
[34]
Andrew Howard, Mark Sandler, Grace Chu, Liang-Chieh Chen, Bo Chen, Mingxing Tan, Weijun Wang, Yukun Zhu, Ruoming Pang, Vijay Vasudevan, Quoc V. Le, and Hartwig Adam. 2019. Searching for mobileNetV3. In Proceedings of the IEEE International Conference on Computer Vision. 1314–1324.
[35]
Andrew G. Howard, Menglong Zhu, Bo Chen, Dmitry Kalenichenko, Weijun Wang, Tobias Weyand, Marco Andreetto, and Hartwig Adam. 2017. Mobilenets: Efficient convolutional neural networks for mobile vision applications. arXiv preprint arXiv:1704.04861.
[36]
Shoukang Hu, Sirui Xie, Hehui Zheng, Chunxiao Liu, Jianping Shi, Xunying Liu, and Dahua Lin. 2020. DSNAS: Direct neural architecture search without parameter retraining. In IEEE/CVF Conference on Computer Vision and Pattern Recognition. 12084–12092.
[37]
Frank Hutter, Lars Kotthoff, and Joaquin Vanschoren. 2019. Automated Machine Learning. Springer.
[38]
Eric Jang, Shixiang Gu, and Ben Poole. 2016. Categorical reparameterization with Gumbel-Softmax. arXiv preprint arXiv:1611.01144.
[39]
Weiwen Jiang, Qiuwen Lou, Zheyu Yan, Lei Yang, Jingtong Hu, X. Sharon Hu, and Yiyu Shi. 2020. Device-circuit-architecture co-exploration for computing-in-memory neural accelerators. IEEE Trans. Comput. 70, 4 (2020), 595–605. DOI:
[40]
Weiwen Jiang, Lei Yang, Edwin Sha, Qingfeng Zhuge, Shouzhen Gu, Yiyu Shi, and Jingtong Hu. 2019. Hardware/Software co-exploration of neural architectures. arXiv preprint arXiv:1907.04650.
[41]
Amar Kachenoura, Fabienne Porée, Guy Carrault, and A. I. Hernández. 2009. Non-linear 12-lead ECG synthesis from two intracardiac recordings. In 36th Annual Computers in Cardiology Conference (CinC). IEEE, 577–580.
[42]
Amar Kachenoura, Fabienne Porée, Guy Carrault, and Alfredo I. Hernández. 2009. Comparison of four estimators of the 3D cardiac electrical activity for surface ECG synthesis from intracardiac recordings. In IEEE International Conference on Acoustics, Speech and Signal Processing. IEEE, 485–488.
[43]
Amar Kachenoura, Fabienne Porée, A. I. Hernández, and Guy Carrault. 2007. Surface ECG reconstruction from intracardiac EGM: A PCA-vectorcardiogarm method. In Conference Record of the 41st Asilomar Conference on Signals, Systems and Computers. IEEE, 761–764.
[44]
Amar Kachenoura, Fabienne Porée, Alfredo I. Hernández, and Guy Carrault. 2008. Using intracardiac vectorcardiographic loop for surface ECG synthesis. EURASIP J. Adv. Sig. Process. 2008 (2008), 1–8.
[45]
Rahul Kher. 2019. Signal processing techniques for removing noise from ECG signals. J. Biomed. Eng. Res 3 (2019), 1–9.
[46]
J. Kormylo and V. Jain. 1974. Two-pass recursive digital filter with zero phase shift. IEEE Trans. Acoust. Speech Sig. Process. 22, 5 (1974), 384–387.
[47]
Royson Lee, Łukasz Dudziak, Mohamed Abdelfattah, Stylianos I. Venieris, Hyeji Kim, Hongkai Wen, and Nicholas D. Lane. 2020. Journey towards tiny perceptual super-resolution. arXiv preprint arXiv:2007.04356.
[48]
Chaojian Li, Tianlong Chen, Haoran You, Zhangyang Wang, and Yingyan Lin. 2020. HALO: Hardware-aware learning to optimize. In European Conference on Computer Vision (ECCV).
[49]
Kunyang Li, Weifeng Pan, Yifan Li, Qing Jiang, and Guanzheng Liu. 2018. A method to detect sleep apnea based on deep neural network and hidden Markov model using single-lead ECG signal. Neurocomputing 294 (2018), 94–101.
[50]
Yuhong Li, Cong Hao, Xiaofan Zhang, Xinheng Liu, Yao Chen, Jinjun Xiong, Wen-mei Hwu, and Deming Chen. 2020. EDD: Efficient differentiable DNN architecture and implementation co-search for embedded AI solutions. arXiv preprint arXiv:2005.02563.
[51]
Chenxi Liu, Liang-Chieh Chen, Florian Schroff, Hartwig Adam, Wei Hua, Alan L. Yuille, and Li Fei-Fei. 2019. Auto-deeplab: Hierarchical neural architecture search for semantic image segmentation. In IEEE Conference on Computer Vision and Pattern Recognition. 82–92.
[52]
Hanxiao Liu, Karen Simonyan, and Yiming Yang. 2018. DARTS: Differentiable architecture search. arXiv preprint arXiv:1806.09055.
[53]
Chris J. Maddison, Daniel Tarlow, and Tom Minka. 2014. A* sampling. In Conference on Advances in Neural Information Processing Systems. 3086–3094.
[54]
G. Stuart Mendenhall. 2010. Implantable and surface electrocardiography: Complementary technologies. J. Electrocardiol. 6, 43 (2010), 619–623.
[55]
G. Stuart Mendenhall and Samir Saba. 2010. 12-lead surface electrocardiogram reconstruction from implanted device electrograms. Europace 12, 7 (2010), 991–998.
[56]
Kriegh P. Moulton, Tim Medcalf, and Ralph Lazzara. 1990. Premature ventricular complex morphology. A marker for left ventricular structure and function. Circulation 81, 4 (1990), 1245–1251.
[57]
Eyal Nof, William G. Stevenson, and Roy M. John. 2013. Catheter ablation for ventricular arrhythmias. Arrhyth. Electrophys. Rev. 2, 1 (2013), 45.
[59]
[60]
Angshuman Parashar, Priyanka Raina, Yakun Sophia Shao, Yu-Hsin Chen, Victor A. Ying, Anurag Mukkara, Rangharajan Venkatesan, Brucek Khailany, Stephen W. Keckler, and Joel Emer. 2019. Timeloop: A systematic approach to DNN accelerator evaluation. In IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). IEEE, 304–315.
[61]
Shahla Parveen and Phil Green. 2004. Speech enhancement with missing data techniques using recurrent neural networks. In IEEE International Conference on Acoustics, Speech, and Signal Processing, Vol. 1. IEEE, I–733.
[62]
Hieu Pham, Melody Y. Guan, Barret Zoph, Quoc V. Le, and Jeff Dean. 2018. Efficient neural architecture search via parameter sharing. arXiv preprint arXiv:1802.03268.
[63]
Fabienne Porée, Amar Kachenoura, Guy Carrault, Renzo Dal Molin, Philippe Mabo, and Alfredo I. Hernández. 2012. Surface electrocardiogram reconstruction from intracardiac electrograms using a dynamic time delay artificial neural network. IEEE Trans. Biomed. Eng. 60, 1 (2012), 106–114.
[64]
Esteban Real, Alok Aggarwal, Yanping Huang, and Quoc V. Le. 2019. Regularized evolution for image classifier architecture search. In AAAI Conference on Artificial Intelligence, Vol. 33. 4780–4789.
[65]
Olaf Ronneberger, Philipp Fischer, and Thomas Brox. 2015. U-Net: Convolutional networks for biomedical image segmentation. In International Conference on Medical Image Computing and Computer-assisted Intervention. Springer, 234–241.
[66]
Kyle Rupnow, Yun Liang, Yinan Li, Dongbo Min, Minh Do, and Deming Chen. 2011. High level synthesis of stereo matching: Productivity, performance, and software constraints. In International Conference on Field-programmable Technology. IEEE, 1–8.
[67]
Yongming Shen, Michael Ferdman, and Peter Milder. 2017. Maximizing CNN accelerator efficiency through resource partitioning. In 44th Annual International Symposium on Computer Architecture (ISCA’17). Association for Computing Machinery, New York, NY, 535–547. DOI:
[68]
Mennatullah Siam, Mostafa Gamal, Moemen Abdel-Razek, Senthil Yogamani, Martin Jagersand, and Hong Zhang. 2018. A comparative study of real-time semantic segmentation for autonomous driving. In IEEE Conference on Computer Vision and Pattern Recognition Workshops. 587–597.
[69]
Dimitrios Stamoulis, Ruizhou Ding, Di Wang, Dimitrios Lymberopoulos, Bodhi Priyantha, Jie Liu, and Diana Marculescu. 2019. Single-path NAS: Designing hardware-efficient convnets in less than 4 hours. In Joint European Conference on Machine Learning and Knowledge Discovery in Databases. Springer, 481–497.
[70]
R. Sukanesh, S. Palanivel Rajan, S. Vijayprasath, S. Janardhana Prabhu, and P. Subathra. 2010. GSM-based ECG tele-alert system. In International Conference on Innovative Computing Technologies (ICICT). IEEE, 1–5.
[71]
Mingxing Tan, Bo Chen, Ruoming Pang, Vijay Vasudevan, Mark Sandler, Andrew Howard, and Quoc V. Le. 2019. MnasNet: Platform-aware neural architecture search for mobile. In IEEE Conference on Computer Vision and Pattern Recognition. 2820–2828.
[72]
Mingxing Tan and Quoc V. Le. 2019. EfficientNet: Rethinking model scaling for convolutional neural networks. arXiv preprint arXiv:1905.11946.
[73]
Fleur V. Y. Tjong and Vivek Y. Reddy. 2017. Permanent leadless cardiac pacemaker therapy: A comprehensive review. Circulation 135, 15 (2017), 1458–1470.
[74]
L. Tran, X. Liu, J. Zhou, and R. Jin. 2017. Missing modalities imputation via cascaded residual autoencoder. In IEEE Conference on Computer Vision and Pattern Recognition. 1405–1414.
[75]
Caroline J. M. van Deursen, Yuri Blaauw, Maryvonne I. Witjens, Luuk Debie, Liliane Wecke, Harry J. G. M. Crijns, Frits W. Prinzen, and Kevin Vernooy. 2014. The value of the 12-lead ECG for evaluation and optimization of cardiac resynchronization therapy in daily clinical practice. J. Electrocardiol. 47, 2 (2014), 202–211.
[76]
Rangharajan Venkatesan, Yakun Sophia Shao, Miaorong Wang, Jason Clemons, Steve Dai, Matthew Fojtik, Ben Keller, Alicia Klinefelter, Nathaniel Pinckney, Priyanka Raina, Yanqing Zhang, Brian Zimmer, William J. Dally, Joel Emer, Stephen W. Keckler, and Brucek Khailany. 2019. MAGNet: A modular accelerator generator for neural networks. In International Conference on Computer-Aided Design (ICCAD).
[77]
Alvin Wan, Xiaoliang Dai, Peizhao Zhang, Zijian He, Yuandong Tian, Saining Xie, Bichen Wu, Matthew Yu, Tao Xu, Kan Chen, Peter Vajda, and Joseph E. Gonzalez2020. FBNetV2: Differentiable neural architecture search for spatial and channel dimensions. arXiv preprint arXiv:2004.05565.
[78]
Junsong Wang, Qiuwen Lou, Xiaofan Zhang, Chao Zhu, Yonghua Lin, and Deming Chen. 2018. Design flow of accelerating hybrid extremely low bit-width neural network in embedded FPGA. In 28th International Conference on Field-Programmable Logic and Applications (FPL).
[79]
Y. Wang, J. Xu, Y. Han, H. Li, and X. Li. 2016. DeepBurning: Automatic generation of FPGA-based learning accelerators for the neural network family. In 53rd ACM/EDAC/IEEE Design Automation Conference (DAC). 1–6.
[80]
Diana Wofk, Fangchang Ma, Tien-Ju Yang, Sertac Karaman, and Vivienne Sze. 2019. FastDepth: Fast monocular depth estimation on embedded systems. In International Conference on Robotics and Automation (ICRA). IEEE, 6101–6108.
[81]
Mark A. Wood and Kenneth A. Ellenbogen. 2002. Cardiac pacemakers from the patient’s perspective. Circulation 105, 18 (2002), 2136–2138.
[82]
Bichen Wu, Xiaoliang Dai, Peizhao Zhang, Yanghan Wang, Fei Sun, Yiming Wu, Yuandong Tian, Peter Vajda, Yangqing Jia, and Kurt Keutzer. 2019. FBNet: Hardware-aware efficient ConvNet design via differentiable neural architecture search. In IEEE Conference on Computer Vision and Pattern Recognition. 10734–10742.
[83]
Junru Wu, Yue Wang, Zhenyu Wu, Zhangyang Wang, Ashok Veeraraghavan, and Yingyan Lin. 2018. Deep \(k\) -Means: Re-training and parameter sharing with harder cluster assignments for compressing deep convolutions. arXiv preprint arXiv:1806.09228.
[84]
Sirui Xie, Hehui Zheng, Chunxiao Liu, and Liang Lin. 2018. SNAS: Stochastic neural architecture search. arXiv preprint arXiv:1812.09926.
[85]
Xilinx Inc.2020. Chaidnnv2: HLS-based Deep Neural Network Accelerator Library for Xilinx Ultrascale+ MPSoCs. Retrieved from https://github.com/Xilinx/CHaiDNN.
[86]
Xilinx Inc.2020. Vivado High-Level Synthesis. Retrieved from https://www.xilinx.com/products/design-tools/vivado/integration/esl-design.html.
[87]
Xilinx Inc.2020. Xilinx Zynq-7000 SoC ZC706 Evaluation Kit. Retrieved from https://www.xilinx.com/products/boards-and-kits/ek-z7-zc706-g.html.
[88]
Peng Xiong, Hongrui Wang, Ming Liu, Suiping Zhou, Zengguang Hou, and Xiuling Liu. 2016. ECG signal enhancement based on improved denoising auto-encoder. Eng. Applic. Artif. Intell. 52 (2016), 194–202.
[89]
Pengfei Xu, Xiaofan Zhang, Cong Hao, Yang Zhao, Yongan Zhang, Yue Wang, Chaojian Li, Zetong Guan, Deming Chen, and Yingyan Lin. 2020. AutoDNNchip: An automated DNN chip predictor and builder for both FPGAs and ASICs. arXiv preprint arXiv:2001.03535.
[90]
Sean Shensheng Xu, Man-Wai Mak, and Chi-Chung Cheung. 2018. Towards end-to-end ECG classification with raw signal extraction and deep neural networks. IEEE J. Biomed. Health Inform. 23, 4 (2018), 1574–1584.
[91]
Yong Xu, Jun Du, Li-Rong Dai, and Chin-Hui Lee. 2014. A regression approach to speech enhancement based on deep neural networks. IEEE/ACM Trans. Audio, Speech Lang. Process. 23, 1 (2014), 7–19.
[92]
Lei Yang, Zheyu Yan, Meng Li, Hyoukjun Kwon, Liangzhen Lai, Tushar Krishna, Vikas Chandra, Weiwen Jiang, and Yiyu Shi. 2020. Co-exploration of neural architectures and heterogeneous ASIC accelerator designs targeting multiple tasks. arXiv preprint arXiv:2002.04116.
[93]
Xuan Yang, Jing Pu, Blaine Burton Rister, Nikhil Bhagdikar, Stephen Richardson, Shahar Kvatinsky, Jonathan Ragan-Kelley, Ardavan Pedram, and Mark Horowitz. 2016. A systematic approach to blocking convolutional neural networks. CoRR abs/1606.04209.
[94]
Anjali S. Yeole and Dhananjay R. Kalbande. 2016. Use of Internet of Things (IoT) in healthcare: A survey. In ACM Symposium on Women in Research. 71–76.
[95]
Chen Zhang, Peng Li, Guangyu Sun, Yijin Guan, Bingjun Xiao, and Jason Cong. 2015. Optimizing FPGA-based accelerator design for deep convolutional neural networks. In International Symposium on Field-programmable Gate Arrays. ACM, 161–170.
[96]
Chen Zhang, Guangyu Sun, Zhenman Fang, Peipei Zhou, Peichen Pan, and Jason Cong. 2018. Caffeine: Towards uniformed representation and acceleration for deep convolutional neural networks. IEEE Trans. Comput.-Aided Des. Integ. Circ. Syst. 38, 11 (2018), 2072–2085. DOI:
[97]
Xiaofan Zhang, Junsong Wang, Chao Zhu, Yonghua Lin, Jinjun Xiong, Wen-Mei Hwu, and Deming Chen. 2018. DNNBuilder: An automated tool for building high-performance DNN hardware accelerators for FPGAs(ICCAD’18). Association for Computing Machinery, New York, NY. DOI:
[98]
Y. Zhao, C. Li, Y. Wang, P. Xu, Y. Zhang, and Y. Lin. 2020. DNN-Chip predictor: An analytical performance predictor for DNN accelerators with various dataflows and hardware architectures. In IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP). 1593–1597.
[99]
Barret Zoph and Quoc V. Le. 2016. Neural architecture search with reinforcement learning. arXiv preprint arXiv:1611.01578.
[100]
Barret Zoph, Vijay Vasudevan, Jonathon Shlens, and Quoc V. Le. 2018. Learning transferable architectures for scalable image recognition. In Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition. 8697–8710.

Cited By

View all
  • (2024)UnifiedSC: a unified framework via collaborative optimization for multi-task person re-identificationApplied Intelligence10.1007/s10489-024-05333-054:4(2962-2975)Online publication date: 22-Feb-2024
  • (2023)The importance of resource awareness in artificial intelligence for healthcareNature Machine Intelligence10.1038/s42256-023-00670-05:7(687-698)Online publication date: 12-Jun-2023

Index Terms

  1. RT-RCG: Neural Network and Accelerator Search Towards Effective and Real-time ECG Reconstruction from Intracardiac Electrograms

          Recommendations

          Comments

          Please enable JavaScript to view thecomments powered by Disqus.

          Information & Contributors

          Information

          Published In

          cover image ACM Journal on Emerging Technologies in Computing Systems
          ACM Journal on Emerging Technologies in Computing Systems  Volume 18, Issue 2
          April 2022
          411 pages
          ISSN:1550-4832
          EISSN:1550-4840
          DOI:10.1145/3508462
          • Editor:
          • Ramesh Karri
          Issue’s Table of Contents

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Journal Family

          Publication History

          Published: 16 March 2022
          Accepted: 01 May 2021
          Revised: 01 April 2021
          Received: 01 December 2020
          Published in JETC Volume 18, Issue 2

          Permissions

          Request permissions for this article.

          Check for updates

          Author Tags

          1. Feature selection
          2. discrete space search
          3. electronic design automation

          Qualifiers

          • Research-article
          • Refereed

          Funding Sources

          • National Institutes of Health
          • National Science Foundation

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)64
          • Downloads (Last 6 weeks)2
          Reflects downloads up to 04 Sep 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2024)UnifiedSC: a unified framework via collaborative optimization for multi-task person re-identificationApplied Intelligence10.1007/s10489-024-05333-054:4(2962-2975)Online publication date: 22-Feb-2024
          • (2023)The importance of resource awareness in artificial intelligence for healthcareNature Machine Intelligence10.1038/s42256-023-00670-05:7(687-698)Online publication date: 12-Jun-2023

          View Options

          Get Access

          Login options

          Full Access

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Full Text

          View this article in Full Text.

          Full Text

          HTML Format

          View this article in HTML Format.

          HTML Format

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media